Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Timing through the d pin

Status
Not open for further replies.

stanford

Full Member level 2
Joined
Feb 16, 2014
Messages
132
Helped
4
Reputation
8
Reaction score
6
Trophy points
1,298
Activity points
2,223
1. Is timing through the d pin only for latches?
2. Why do such paths exist? (i.e d pin of latch -> o pin of latch -> logic -> d pin of flop)
 

the latch is level sensitive. so there is an arc from d to q in latch when en =1. the flop is edge sensitive so there is nothing like d-to-q. it is depended on the clock. So you have clock to q.

there will be an arc for every input to output such the input transition causes an output transition depending on the states of other pins.
 

the latch is level sensitive. so there is an arc from d to q in latch when en =1. the flop is edge sensitive so there is nothing like d-to-q. it is depended on the clock. So you have clock to q.

there will be an arc for every input to output such the input transition causes an output transition depending on the states of other pins.

the timing analysis tool does not know when the latch is transparent. Does it do this d to q analysis assuming it is transparent all the time?

So such path will look like this? (c to q of launching flop -> d to q of latch -> d of capturing flop)
 

The critical time for a latch is when the latch goes from transparent to latched.
e.g. where E is latch signal and D is the data input
Code:
[FONT=Courier New]      transparent      latched
      ________________          _______________  
E ___|                |________|               |_
  _ ________________________         ____________
D _X________________________XXXXXXXXX____________
     |--|tE-Q   |-tsu-|-th -|       |tD-Q|
  ______ _________________________        _______
Q ______X_________________________XXXXXXXX_______
                               |--|tE-Q[/FONT]
The falling edge of E latches the data on D and must meet a setup and hold time just like a flip-flop. But there is also the transparent delay from the rising clock edge to the Q output and from the D input to the Q output.

Because of these extra E-Q and D-Q paths the latch is more difficult to constrain and analyze than a flip-flop. If E is not the clock and is a enable generated from the clock you also need to create a generated clock to time the latch. Can't really help on how all these constraints should be done, as I've avoided latches since the last 3000 series FPGA design and board design (slow asynchronous bus interfaces with address latching strobe) I did a long time ago.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top