Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Generate desired random number in range in verilog

Status
Not open for further replies.
I've seen that Xilinx document....

As I stated previously, the document I linked is the only one that I have ever run across that has both 2 and 4 tap maximal length sequences for any N within the table. The Xilinx paper only has either a 2 or a 4 tap even for ones that have polynomials for both.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top