Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Active HDL vs Models performance

Status
Not open for further replies.

shaiko

Advanced Member level 5
Joined
Aug 20, 2011
Messages
2,644
Helped
303
Reputation
608
Reaction score
297
Trophy points
1,363
Activity points
18,302
Hello,

The company I'm working for is in the process of deciding on a new simulation software.
We're designing a product around a Medium / Large Xilinx Kintex Ultrascale FPGA.

Currently we're using Modelsim PE but thinking about
moving to Active HDL...

To people that have a lot of experience with both - which one works faster (assuming the same design and logging)?
Which one is less buggy?
Are there important features that one has and the other doesn't ?
 

Since moving to ActiveHDL, Ive found a load of bugs, but these are mostly on some more eosoteric VHDL 2008 stuff. But they were quite serious (simulator not mapping unconstrained record access types to system memory properly - so populating my records with data from adjacent fields/rubbish, and then eventually crashing).
Currently, active HDL doesnt like having constant records with Null arrays = vsim crash

This is the kind of stuff I had working in Modelsim previously.

ActiveHDL really likes you to use their tool as a full development environment - which is very annoying if you're using to driving everything from modelsim's command line. ActiveHDL inisists you have a "workspace" open to function when in the gui. Luckily, you can run it in batchmode and view the waveform without having a workspace open.

ActiveHDL is stricter to the VHDL LRM than modelsim. I had some code I ported that worked in modelsim ended up failing in ActiveHDL (for correct reasons). They werent even warned in Modelsim, so I suspect it is actually a bug in MS and a SV carry over.

But at the end of the day, ActiveHDL is much cheaper.

Both are good at dealing with support requests, but Aldec are pretty quiet - they tend to just pick up the case without any response other than the auto-generated reply. MS would at least give me some sort of "thanks" reply.

- - - Updated - - -

As for performace - I cannot say. Without running the same design through both I cant say

- - - Updated - - -

And finally - ActiveHDL is a native application. Modelsim GUI runs inside a Tcl.TK gui - hence rather slow and has always been a problem for it. I think the newer versions are moving away from tcl.tk
 
  • Like
Reactions: shaiko

    shaiko

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top