Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

i need verilog code to filter out ethernet MAC

Status
Not open for further replies.

vivek_vlsi

Newbie level 4
Joined
Jul 25, 2016
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
45
i need verilog code to filterout ethernet MAC

how to filter MAC address only from Ethernet packet?
 

Re: i need verilog code to filterout ethernet MAC

Hi,

Usually this is the job of the ethernet chip.

***
9 words of question --> 9 words of answer.
If you need a more detailed answer you first need to give a more detailed description.

My recommendation:
* first read about ethernet protocol
* then read about ethernet PHY (verilog if you need)
* then try to find a solution on your own
* show us your solution
* ask dedicated questions

Klaus
 

Re: i need verilog code to filterout ethernet MAC

Write your own logic such that you can read out the 1st 12 bytes of the complete frame from the receive-FIFO (assuming a FIFO is present after the MAC core). Thats your DA and SA.

As to the Verilog code, I am pretty sure no-body will just give it out to you. Write your own logic and do it yourself. You may ask questions here if you have problems.
 
Last edited:

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top