Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[moved] FPGA Timing Constraints

Status
Not open for further replies.

beginner_EDA

Full Member level 4
Joined
Aug 14, 2013
Messages
191
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
3,854
Hi,
I am using Xilinx kc705 board with VIVADO 15.2 for my project and project have several clocks. In report time summary "Total hold slack" doesn't meet the timing requirement. Therefore by means of "contraints wizard" I am trying to enter several constraints parameter.

For input there are clocks having colck period 37 ns, 10 ns, 15 ns .... and I am supposed to provide the value for following input delays parameters:

Code:
tco_min, tco_max, trce_dly_min, trce_dly_max, trco_min, trco_max, tfco_min, tfco_max, trce_dly_min, trce_dly_max

Similarly for output there are clocks having clock period 37 ns, 6 ns, 10 ns, 7 ns, ... and I am supposed to provide the value for following output delays parameters:

Code:
tsu, thd, trce_dly_max, trce_dly_min
But I don't know which value should I provide to these parameters. Where i can find such values?

Could anybody please help according to this?

Best regards
 

Re: FPGA Timing Constraints

The input/output delays depended on the interface parts with FPGA.
I believe those parts have datasheet that provide such timing specification.
 

Re: FPGA Timing Constraints

Hi, I am looking for one reference design and didn't understand contents inside curly bracket {} of XDC file giving the path:

Code:
set_max_delay -datapath_only -from [get_pins {Si5324CTRL/Si5324_status_reg[0]/C}] -to [get_pins -regexp {VOIP/ten_gig_block_kc705/core_reset_dly_reg\[[0-9]\]_srl3__VOIP_ten_gig_block_kc705_core_reset_dly_reg_p_([0-9]|[0-9][0-9])/D}] 5.400

can anybody please expalin?
 

Re: FPGA Timing Constraints

1. First of all post it in the correct forum (PLD, SPLD, GAL, CPLD, FPGA Design)!

2. There are many {} in the xdc command, which one is needed?

3. Have you referred to the Xilinx Constraints manual, UG903.pdf where most explanations are present?
 

the stuff inside a {} are collections in Tcl, which is what xdc/sdc is based on. Collections are just containers (lists) of stuff.

The first {} is specifying the clock pin of a specific register in the hierarchy.
The second {} is input to a regular expression that is looking for all the D pins of registers associated with a bus.

This constraint is probably for a cross clock domain register or they have a tighter requirement than the normal period constraint.
 
Hi,
the register associated with the bus. here
Code:
[0-9]|[0-9][0-9])/D

could you please little bit clarify this ?
 


Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top