Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL problem: NUMERIC_STD.">": metavalue detected, returning FALSE

Status
Not open for further replies.

indoubt

Newbie level 1
Joined
Apr 16, 2016
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
11
Hi everybody. I'm have to implement a testbench for a sign-mag adder in a college project, but im having problems.
I can do the analysis and the elaboration without any problems, however when i try to execute the files i receive the message
"../../src/ieee/numeric_std-body.v93:1005:7:mad:0ms:(assertion warning): NUMERIC_STD.">": metavalue detected, returning FALSE"
Im new to vhdl, so i don't have any idea how to solve it.
 
Last edited by a moderator:

Re: VHDL problem: NUMERIC_STD.">": metavalue detected, returning FALSE

The assertion is triggered by a X (unknown) value in one of the inputs to ">" (GREATER) comparison.

Find out where the X value comes from. Most simulators have tools to trace the source of unknown values, review the user manual.
 

Re: VHDL problem: NUMERIC_STD.">": metavalue detected, returning FALSE

It will be triggered by any comparison where one of the values contains any non binary ('0' or '1') value, not just 'X'. all 'U' is a prime trigger for this (ie. before reset occurs).
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top