Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[moved] Vcd dump issues of simple verilog code

Status
Not open for further replies.

mustafa_110

Newbie level 1
Joined
Nov 19, 2008
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,293
Hi All,

I am new to the frontend. My expertise lies mostly in the back end . I am trying my hand at verilog coding and simulations using ncvim. Some how I a not able to dump a proper vcd file to view in simvision. Can someone please help me to figure out the issue.

This is the content of a simple mux ( file my_mux.v)


Code Verilog - [expand]
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
`timescale 1ns/10ps
module my_mux (a , b  , s , z) ;
input a , b , s ;
output z ; 
 
assign z = (s) ? a : b ;
endmodule
 
This is the content of the testbench code as mentioned below. ( file my_mux_tb.v )
 
`timescale 1ns/10ps
module testmux;
reg a, b, s ;
 
wire out ;
 
my_mux inst (a , b  , s , out) ;
 
initial 
 
begin 
    $dumpfile("testmux.vcd");
    $dumpvars();
    a = 0 ;
    b = 1 ; 
    s = 0 ;
    #1;
 
    a = 1 ;
    #1;
 
    s = 1 ;
    b = 0 ;
 
    #1;
    $finish;
 
end
endmodule



These are the commands use to compile , elab and simulate and then view the waveform.

Code:
ncvlog my_mux.v my_mux_tb.v -messages
ncelab testmux -messages
ncsim testmux

However the vcd file does not contact the information required to view the waveform in simvision. As a result there are no waveforms to view.
The content of the vcd file is as mentioned below.

Code:
$date
    Jun 27, 2015  23:09:45
$end
$version
    TOOL:	ncsim	14.20-s002
$end
$timescale
    10 ps
$end
$enddefinitions $end
$dumpvars
$end
#300

Can someone please help me get some waveform so that I can view the same in simvision.

Regards,
Mustafa
 
Last edited by a moderator:

Pass option "access -r" to ncelab.
Check warnings from ncsim without this option:
*W,DVEXACC2: someobjects excluded from $dumpvars due to -access -R.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top