Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Verilog Selective Synthesis, Advise on Code Structure

Status
Not open for further replies.

dipin

Full Member level 4
Joined
Jul 16, 2014
Messages
223
Helped
14
Reputation
28
Reaction score
14
Trophy points
18
Activity points
1,731
hi,

i had designed three modules(using verilog) and make it together using a wraper.
example

module top()

::::::::::::
:::::::::::

A uut();

B uut();

c uut();

endmodule

after this, when i synthesis the top module , i need only MODULE A to get synthesized and not B and C. then next time only B not A and C
is there any way to do this.

thanks & regards
 
Last edited:

U can use an `ifdef while instantiating the modules.
 
  • Like
Reactions: dipin

    dipin

    Points: 2
    Helpful Answer Positive Rating
HI,
`define B
module top()

::::::::::::
:::::::::::
`ifdef A
A uut();
`elsif B
B uut();
`elsif C
C uut();
`endif
endmodule

hi i have selected like this and now its working. but got a problem. i am not able to synthesis it?
anybody know how to solve this
thanks in advance
 
Last edited:

By any chance are you keeping the define name same as the module name? Please post the error?
 
  • Like
Reactions: dipin

    dipin

    Points: 2
    Helpful Answer Positive Rating
hi,
thanks sarath, its solved.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top