Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

fixed point representation

Status
Not open for further replies.

lokesh@88

Newbie level 4
Joined
Feb 23, 2015
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
37
sir
i want to convert fixed point to std_logic_vector, plz help me
 

What type are you using for the fixed point?
if its the ufixed or sfixed types, there is a to_slv function in the fixed_pkg

my_slv <= to_slv(my_ufixed);
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top