Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Why are ADC's output in 2'complement

Status
Not open for further replies.

sid_27

Junior Member level 2
Joined
Aug 14, 2013
Messages
21
Helped
6
Reputation
12
Reaction score
6
Trophy points
3
Activity points
139
My quesion is why are all ADC's output are in 2'complement. I am using LTC2294 ADC it gives output in offset binary or 2'complement, what is the reason behind this. Can I use 2'complement data stright away in my VHDL code or I have to convert it back to simple binary and then use it in my code, please help.
 

you can use 2s compliment in VHDL. Its the basis of all integer arithmetic.
 

It's not true that all ADCs have two's complement output coding, some have offset binary only.

But you can easily convert between both codes by inverting the MSB. Two's complement maps directly to signed format, in so far it's preferable in most cases.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top