Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Generating AXI4Lite BFM using Xilinx Coregen

Status
Not open for further replies.

dpaul

Advanced Member level 5
Joined
Jan 16, 2008
Messages
1,799
Helped
317
Reputation
635
Reaction score
342
Trophy points
1,373
Location
Germany
Activity points
13,065
Hi all,

I have a scenario in which I am designing an AXI4-Lite master. In order to test this it would be nice to have an AXI4 Lite BFM. My idea is to instantiate the AXI master in the BFM which would have the slaves. So I generated the AXI4-Lite BFM using Coregen.
Coregen --> AXI Infrastructure --> AXI Bus Functional Model (v2.1)

But I found only the following files are generated...
cdn_axi3_example_memory_model_test.v
cdn_axi3_example_tb.v
cdn_axi3_example_test.v
cdn_axi4_example_memory_model_test.v
cdn_axi4_example_tb.v
cdn_axi4_example_test.v
cdn_axi4_lite_example_memory_model_test.v
cdn_axi4_lite_example_tb.v
cdn_axi4_lite_example_test.v
cdn_axi4_streaming_example_tb.v
cdn_axi4_streaming_example_test.v
cdn_axi_test_level_api.v

Among the above the following are of interest to me...
cdn_axi4_lite_example_memory_model_test.v
cdn_axi4_lite_example_tb.v
cdn_axi4_lite_example_test.v

When I took a look into cdn_axi4_lite_example_tb.v, I found that instances of 'cdn_axi4_lite_master_bfm' and 'cdn_axi4_lite_slave_bfm'. Actually I was expecting Coregen to generate these two (the instiantiation of the master I would have repached by my own model).

My question is why were these not generated. Is it not part of the package or is a special license required?

Our server already has the license for ISE 14.4.

The info message on the AXI BFM @ Coregen says...
"The AXI BFM solution is an optional product that is purchased separate from the ISE software. Licensing is handled through the standard Xilinx licensing scheme. A new license feature, XILINX_AXI_BFM, is needed in addition to the standard ISE license features."

So is it because of the unavailability of the license feature XILINX_AXI_BFM that something like cdn_axi4_lite_slave_bfm.v or cdn_axi4_lite_master_bfm.v is not being generated?

How can I ensure that the Xilinx license we have doesn't have this feature?

Note: I already posted this in the Xilinx Forum and got no answer!
 

Are you saying that you did not get the verilog models from the tool? You will definitely not get those.
Just check whether encrypted copies are generated. I am not sure if you need a separate license for this.
 

How can I ensure that the Xilinx license we have doesn't have this feature?
Try opening the license manager and once it populates the available licenses you'll know exactly what is included. Otherwise you'll need to find the license file itself to see what is available.


Note: I already posted this in the Xilinx Forum and got no answer!
Not surprising, I've noticed that a lot of questions don't get answered on that forum, unlike the Altera one.

- - - Updated - - -

Are you saying that you did not get the verilog models from the tool? You will definitely not get those.
Just check whether encrypted copies are generated. I am not sure if you need a separate license for this.
Yes it requires a separate license. I ended up writing my own AXI4 BFMs just because of this. (which I can't post as it was done for work)

FYI there is a very old AXI4 BFM that was done in System Verilog you might be able to try if you are using a simulator other than ISIM.
http://syswip.com/axi4-lite-verification-ip
 

Thanks sarath666 & ads-ee!

@sarath666 - no there isn't any encrypted files generated.
@ads-ee - Problem is now clear, separate license is required.

btw - other than the AXI4 BFM @ Syswip, I have found another one available under GNU GPL. Will see how can I use them.
 

btw - other than the AXI4 BFM @ Syswip, I have found another one available under GNU GPL. Will see how can I use them.

To make this thread useful for others searching for an AXI4 BFM you could share the URL of the other GPL one you found. (I may have found that one, but deamed it very badly written, it may have also had errors).
 

That was useful to see. I had found #2 previously, which I deemed pretty poorly coded. The 3rd I never found. So it might take a look at it.

Though there really isn't much incentive to look as I ended up designing my own master and slave BFMs.
 

On a side note, I am glad I never have to use the code from that link...
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top