Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

created logic net from UPF is not visible in Modelsim (Questasim) Schematics

Status
Not open for further replies.

odnata

Newbie level 4
Joined
Dec 18, 2014
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
52
Hi

When I try a to create a logic net, to connect a power management controller to another component (both written in verilog), I'm not able to see the created net in the schematics view.

The UPF-file were interpreted without any errors (I've also double checked the naming )

The section from the UPF-file:
[...]
set_scope LFSR

create_logic_port WAKE_UP_Domain_2_LFSR -direction in
create_logic_net WAKE_UP_Domain_2_LFSR
connect_logic_net WAKE_UP_Domain_2_LFSR -ports {
PMCDomain_2/WAKE_UP_Domain_2}
connect_logic_net WAKE_UP_Domain_2_LFSR -ports {WAKE_UP_Domain_2_LFSR}
[...]
set_scope /
create_logic_port WAKE_UP_Domain_2_top -direction in
create_logic_net WAKE_UP_Domain_2_top
connect_logic_net WAKE_UP_Domain_2_top -ports { WAKE_UP_Domain_2_top}
connect_logic_net WAKE_UP_Domain_2_top -ports { LFSR/WAKE_UP_Domain_2_LFSR}
[...]

The Commands in Questasim:
vlog -work work -L mtiPA -f <path>compile_rtl.f

vopt tb -pa_upf <path>out.upf -pa_lib work -pa_enable=nonoptimizedflow+highlight -o top_opt -work work +acc -debugdb -novopt -pa_lib work -pa_all -pa_genrpt=pa+de+nv+u -pa_checks=s+ul+umi+i+r+p+cp+t+upc+npu+ugc +cover

vsim top_opt -pa -novopt -pa_lib work -debugdb=<path>/test_file -l rtl.log -wlf rtl.wlf -L mtiPA -pa_highlight -assertdebug -coverage +notimingchecks


I thought that the optimization is fighting against me, but I can't find the problem. I'm using a nonoptimized design flow
I can proove that the upf interpreter does something with the logic lines due to the fact that in the debug-file test_file contains the names of the logic lines (I've only looked it up with grep)


I'm using questasim-10.2c5

I'm thankfull for every hint
John

- - - Updated - - -

Hi,

I've some new informations:
If I try to add components to the Schematics which have some UPF logic stuff in it, I got a errorline:
Code:
add schematic -full sim:/tb/TOP
# ShowFullView error1 DebugDB QueryError:
#   error3 = near ")": syntax error

If I add components without UPF logic stuff everything is allright

John
 

Hi again,

I havn't found the reason for the Syntax error but this morning it dosn't appear with the same testscript.
may it was only fortune.
 

A little update:

the Syntax error appeared several times while I've tried to handle the logic nets from the UPF. You can get rid of this behaviour with a new start of Questasim. I still don't know why this error appears.

back to the not visible logic net:
- I've done some tests. The logic net commands in UPF are interpreted correctly but later no interaction is possible. (the created logic net is not addable to the wave-view either)

John
 

A new Update, I've created a new minimal example:
Code:
module min(clk, inputValue);
input clk, inputValue;

always @(clk)
begin
   
end
endmodule
//-----------------------------------------------------------
module minout(clk, inputValue);
input clk, inputValue;

always @(clk)
begin
   
end
endmodule

With the testbench:
Code:
import UPF::*;

module tb;
reg inputValue;
reg clk;
always #8 clk = ~clk;
always #200 inputValue = ~inputValue;

initial
begin
	$dumpfile("vcd_dmp/dmp_tb.vcd"); 
	$dumpvars(0,tb);//read verilog book for more info on system command 
	
	// set the power to the domains, if you don't do that, nothing will work
	supply_on("VDD_port", 0.95);
	supply_on("VSS_port", 0.0);
	clk =0;
	inputValue =1;
end

initial
begin
	#4000
	$dumpoff;
	$stop;
end

//TOP MODULE INSTANTIATION
min MIN(clk, inputValue);
minout MINOUT(clk);
endmodule

And the UPF-file:
Code:
set_design_top tb 

##############################
create_power_domain top_PD -elements {MIN MINOUT}

create_supply_port VDD_port -domain top_PD -direction in 
create_supply_net  VDD_net  -domain top_PD
connect_supply_net VDD_net  -ports {VDD_port}

create_supply_port VSS_port -domain top_PD -direction in 
create_supply_net  VSS_net  -domain top_PD
connect_supply_net VSS_net  -ports { VSS_port}

set_domain_supply_net top_PD \
	-primary_power_net VDD_net  \
	-primary_ground_net VSS_net


##############################
set_scope MIN
create_logic_port outputValue_port -direction out 
connect_logic_net inputValue -ports {outputValue_port}

set_scope /
create_logic_net aaa
connect_logic_net aaa -ports {MINOUT/inputValue MIN/outputValue_port}

Then I compiled it with:

Code:
vlib work
########## Compile the source files.
vlog -64 -O0 -novopt -work work -L mtiPA -f <...>/minimal_example/scripts/compile_rtl.f -coveropt 1 -vopt

########## Optimize the design.
vopt tb -pa_upfversion=2.0 -pa_upf<...>/minimal_example/SRC/out_questa.upf -pa_lib work -pa_enable=nonoptimizedflow+highlight -o top_opt -work work +acc -debugdb -novopt -pa_lib work -pa_all -pa_genrpt=pa+de+nv+u -pa_checks=s+ul+umi+i+r+p+cp+t+upc+npu+ugc +cover +acc=npr+* -coveropt 1 -O0 -pa_all -pa_behavlogfile=<...>/minimal_example/error

########## Simulate the design and view the results.
vsim top_opt -pa -novopt -pa_lib work -debugdb=<...>/minimal_example/test -l rtl.log -wlf rtl.wlf -L mtiPA -pa_highlight -assertdebug -coverage +notimingchecks -donotcollapsepartiallydriven -autoexclusionsdisable=fsm

log -r /*

run -all

The compile_rtl.f- file only contains:
Code:
<...>/minimal_example/SRC/tb.sv
<...>/minimal_example/SRC/min.v

The generated Components schould lined up in a logic line... but they are independet
Screenshot-Schematic.png
caused by accident I found the view all net option and there we have our logic together with the syntac error described before.

I also added all the signals to the wave-view:
Screenshot-Wave.png
And there we have all infomation.

conclusion:
It's not possible to see what you have done in the Schematic in a nice way but it is possibel to find something from your UPF-logic in these view.
The Wave view is working fine and it seems correct.

I'll send a bug report to Mentor Graphics this only to tell you some approaches if you have simmilar problems.
 

I'll send a bug report to Mentor Graphics this only to tell you some approaches if you have simmilar problems.

I can' find a entry for Questasim in the **broken link removed**
So I choosed Modelsim to send my Bugreport but my mail got rejected:
Failed to create SR or associated activity:
Cannot create SR, Contact is not found in the system: <MAIL>


I think I don't have any permision to send them something about Modelsim/Questasim. I actually have no support agreement. (I also send the mail to sales, so that someone get notice about this problem... no reaction)

Does anyone have an idea how to contact Mentor Graphics?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top