Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

RAM/ROM using VHDL, Am not really getting what to and need help

Status
Not open for further replies.

axi3795

Newbie level 2
Joined
Dec 12, 2014
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
15
Hi Everyone,
I wanna describe a RAM and ROM in VHDL and am not really getting what to do, so I will really appreciate if someone can help me.
Memory
8-Bit Addressable Memory, i.e. 8-bit wide Address Bus;
4-Bit Data-In-Bus;
4-Bit Data-Out-Bus;
One Program Memory Address Space implemented using an initialized ROM.
One Data Memory Address Space implemented using an uninitialized,
asynchronous RAM.
Asynchronous Write signal, MW = 1 to write, 0 to not write, i.e. re

Thank You,
 

Hi Everyone,
I wanna describe a RAM and ROM in VHDL and am not really getting what to do, so I will really appreciate if someone can help me.
Memory
8-Bit Addressable Memory, i.e. 8-bit wide Address Bus;
4-Bit Data-In-Bus;
4-Bit Data-Out-Bus;
One Program Memory Address Space implemented using an initialized ROM.
One Data Memory Address Space implemented using an uninitialized,
asynchronous RAM.
Asynchronous Write signal, MW = 1 to write, 0 to not write, i.e. re

Thank You,

I'm pretty sure there aren't any production FPGAs (not obsoleted) that support asynchronous RAM. If you want a RAM/ROM your two choices are instantiate a RAM core from the vendors IP core generation tool or infer it with behavioral code that follows the vendors template.

If you need the RAM/ROM code to be portable...good luck with that...you're definitely going to be limited in what your RAM features are.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top