Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

pipelining concept in rtl

Status
Not open for further replies.

anusha vasanta

Member level 1
Joined
Sep 23, 2014
Messages
34
Helped
0
Reputation
0
Reaction score
0
Trophy points
6
Activity points
251
Hi all,
do pipelining reduces the no.of clock cycles in rtl??
what do actually pipeline means in verilog is it implementing non-blocking statements or anything else??
thanx in advance
 

Hi Anusha,

Pipe lining is nothing but introducing registers in your data path. It does not reduce the clock cycles rather it will increase according to the no. of pipe lining added in the data path.
It is very useful when you want to optimize your design. Also it can be used to remove set up time violations.
 

Pipelining is usually done when the design is not able to meet the required operating frequency. Long combo paths are broken down into shorter paths by inserting registers in between. This reduces the combi delay and thus increases the operating frequency.
Registers are usually implemented in RTL by non blocking statements. But all non blocking statements do not infer registers.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top