Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to design a simplified LUT

Status
Not open for further replies.

ruwan2

Member level 5
Joined
Nov 29, 2011
Messages
90
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
2,141
Hi,
I once was asked a question on how to design a look up table. It is said that the full table will need 16-bit address for some random data. In order to save resource, it was required to use 4-bit entry address. I had logic design for some time, but this question was beyond of my solution even after a long time.

Could you explain it to me?


Thanks,
 

You seem to have struggled to explain it to us - so helping is rather hard.

I assume with the 4 bit address lookup you've lost some resolution in the lookup values?
 

A LUT is just a ROM. What is the problem you are having with designing it?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top