Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Non integer generated clocks

Status
Not open for further replies.

harpv

Member level 4
Joined
May 30, 2012
Messages
73
Helped
19
Reputation
38
Reaction score
20
Trophy points
1,288
Activity points
1,838
I would like to generate a divided by 1.5 clock from a source clock. How do I create such a constraint for STA?

create_generated_clock command only has integer division support I believe.
 

Hi,

best is to use a PLL.

Klaus
 

it has been generated by simple digital logic. No PLL involved.

((clk_by_2 (from clk posedge) | clk_by_2 (from clk negedge))
 

Once you define output requirements on frequency, voltage levels, and jitter, ( duty cycle will be 1/3) then you can define constraints on input duty cycle rise/fall time propagation delays and threshold.

If net Propagation time can be defined for symmetry then the realization is best for one edge to be most stable with supply and temperature changes.
 

Try giving a generated clock on clk_by_2 (from clk posedge) and clk_by_2 (from clk negedge). Then give another create_generated_clock on the final clock specifying both above clocks as source_objects(You are allowed to specify more than a single source)..
I am not sure of this solution. But it is worth a try..Do let us know the solution in case you arrive at one..
 

Couldn't you use the -multiply_by and -divide_by at the same time? It's been awhile but I think that was the way you obtain fractional ratios for generated clock.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top