Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

can I delay 1.5 time units?

Status
Not open for further replies.

liletian

Full Member level 6
Joined
Mar 5, 2008
Messages
337
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
3,790
Hi Guys

In verilog, I had the following statement:

'timescale 1n/100p (it means the time unit is 1n with resolution of 100p).

So I can put

#0.1 in the testbench to delay 100p?

how about

#0.01?

Thanks
 

Use SystemVerilog for your testbench and write

#0.1ns

Never use unit-less delays in your testbench
 

Use SystemVerilog for your testbench and write
#0.1ns
Never use unit-less delays in your testbench
What about those of us who don't have a simulator that supports SystemVerilog?

Maybe you can send me a free perpetual use Questa license so I can use #0.1ns in my testbench! ;-)
 

Use SystemVerilog for your testbench and write

#0.1ns

Never use unit-less delays in your testbench

Those delay is for simulation verification only. It will not be synthesized into the hardware, right?

Thanks

What do you mean never use unit-less delays in testbench? You mean we should never use
#0.1 correct?
 

All versions of ModelSim including free versions (student and Altera editions) all support basic SystemVerilog constructs. Just use a *.sv file extension for files containing SystemVerilog syntax.

Yes, I mean alwayss use #0.1ns instead of #0.1

Synthesis tools ignore all delays in your source code assuming they are just there to approximate actual delays and remove race conditions for simulation verification.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top