Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Novas verilog question

Status
Not open for further replies.

skyworld_cy

Junior Member level 3
Joined
Jun 29, 2011
Messages
31
Helped
0
Reputation
0
Reaction score
1
Trophy points
1,288
Activity points
1,513
hi,
I'm working with Novas and ncverilog together to dump waveforms. It is strange that the log always shows this message:

*** Registering Novas Verilog system tasks...
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpfile has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpvarsToFile has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpvars has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbSwitchDumpfile has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbAutoSwitchDumpfile has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpon has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpoff has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpflush has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumplimit has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpStrength has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpMem has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpMemNow has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDisplay has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbSuppress has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpMemInFile has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpMemInScope has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpFinish has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbSubstituteHier has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpPSL has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpPSLon has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpPSLoff has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpSVA has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpSVAon has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpSVAoff has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpMDAInScope has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpMDAOnChange has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpMDA has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpMDANow has already been registered.
ERROR: TF TFTHRE
The user defined task or function $fsdbDumpPattern has already been registered
Can anybody help me to find the reason why this would happen? Thanks.
 
Last edited by a moderator:

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top