Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Error Line 135: found '0' definitions of operator "+", cannot determine exact.......

Status
Not open for further replies.

papetorh

Newbie level 5
Joined
Aug 8, 2013
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
114
Error Line 135: found '0' definitions of operator "+", cannot determine exact.......

Line: 134
elsif (anglein>angle(fia,coa+1) and anglein<angle(fia,coa+2) and etiquetas(fia,coa+1)="lfunction") then

Line 135: pendiente:=(-1/(angle(fia,coa+2)-angle(fia,coa+1)));
Line 136: corte:=(pendiente*(anglein-angle(fia,coa+2)));
Line 137: fia:=fia+1;

Having some problems with this lines drop me off some errors i cant seem to resolve. Will very much aprecciate the help.


Errors:

Line 135: found '0' definitions of operator "+", cannot determine exact overloaded matching definition for "+"

Line 136: found '0' definitions of operator "+", cannot determine exact overloaded matching definition for "+"
 

Re: Error Line 135: found '0' definitions of operator "+", cannot determine exact...

Line: 134
elsif (anglein>angle(fia,coa+1) and anglein<angle(fia,coa+2) and etiquetas(fia,coa+1)="lfunction") then

Line 135: pendiente:=(-1/(angle(fia,coa+2)-angle(fia,coa+1)));
Line 136: corte:=(pendiente*(anglein-angle(fia,coa+2)));
Line 137: fia:=fia+1;

Having some problems with this lines drop me off some errors i cant seem to resolve. Will very much aprecciate the help.


Errors:

Line 135: found '0' definitions of operator "+", cannot determine exact overloaded matching definition for "+"

Line 136: found '0' definitions of operator "+", cannot determine exact overloaded matching definition for "+"

as it says it can't figure out an operator + for this type

so, you probebly need to include a package that implement this operator, or implement it by yourself, or you are doing
something wrong..
 

Re: Error Line 135: found '0' definitions of operator "+", cannot determine exact...

You have not shown all of the code, hence we have no idea what all the types are, or what packages you included.

So the above poster is correct, you need to define a + function yourself, or convert the types so that you can use an existing + function.
 

Re: Error Line 135: found '0' definitions of operator "+", cannot determine exact...

You have not shown all of the code, hence we have no idea what all the types are, or what packages you included.

So the above poster is correct, you need to define a + function yourself, or convert the types so that you can use an existing + function.

Thank you very much for the quick response. Really apreciatte it. Here are the libraries i used:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

--Uncomment the following library declaration if using
--arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;

--Uncomment the following library declaration if instantiating
--any Xilinx primitives in this code.
library UNISIM;
use UNISIM.VComponents.all;
 

Re: Error Line 135: found '0' definitions of operator "+", cannot determine exact...

Here are the libraries i used
And types of involved signals/variables?

By the way, the below line seems meaningless with the types defined in numeric.std
Code:
pendiente:=(-1/(angle(fia,coa+2)-angle(fia,coa+1)));
 

Re: Error Line 135: found '0' definitions of operator "+", cannot determine exact...

And types of involved signals/variables?

By the way, the below line seems meaningless with the types defined in numeric.std
Code:
pendiente:=(-1/(angle(fia,coa+2)-angle(fia,coa+1)));


Why meaningless i mean real types are for pendiente and fia , coa are integer numbers and angle is an array real
 

Re: Error Line 135: found '0' definitions of operator "+", cannot determine exact...

Real type is neither synthesizable nor defined in numeric_std.
 

Re: Error Line 135: found '0' definitions of operator "+", cannot determine exact...

you can add "use ieee.math_real.all " to your library definitions .
And also you can convert from real to integer values with integer() function if you want like

and try changing the code like below..
Code:
pendiente:=(-1.0/(angle(fia,coa+2)-angle(fia,coa+1)));
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top