Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

+notimingcheck option

Status
Not open for further replies.

chico

Junior Member level 1
Joined
Dec 29, 2004
Messages
19
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
152
notimingcheck

Whether the +notimingcheck option of NC will affect the simulation result? 3Q
 

+notimingcheck

Of course. If you use +notimingcheck option, when there are setup/hold/timing
happened in your FF, you will not got an "X" (notifier assertion in gate level verilog
library) and warning messages.

My opinion is you should better use +no_notifier instead, which will report setup/hold
time violation but will not change your FF's output into "X", which is good for running gate level design having multiple clock domains.
 

notimingchecks

for simulaitn on gate-level without SDF file, you should with +notimingcheck.
for post-apr simulaiton with SDF file, you should don't with +notimingcheck,
otherwise the simulation should be non-meaningful.
 

verilog notimingcheck

If using notimingcheck, the timing violation would not cause DFF value to 'x', without notimingcheck, the timiing violation would cause DFF to be 'x'
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top