Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to convert decimal number into binary

Status
Not open for further replies.

xilinx1001

Member level 3
Joined
Apr 3, 2013
Messages
60
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,781
Hi,

How to convert integer value into decimal in VHDL.

I am trying to use conv_std _logic _vector

But I am getting some error like below:

Code:
Undefined symbol 'conv_std_logic_vector'.
 conv_std_logic_vector: Undefined symbol (last report in this block)

How can I solve the problem?.

Regards
xilinx1001
 

With just seeing the error it is hard to guess the error in the code.
Here is a snippet from working code as a syntax check:
tmp <= conv_std_logic_vector(conv_integer(A),9);
Be sure to include the required library.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top