Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Synthesis timing summary in Xilinx tool (ISE)

Status
Not open for further replies.

asi123

Newbie level 6
Joined
Mar 5, 2010
Messages
13
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Israel
Activity points
1,498
I'm getting the following timing summary from the synthesis:

Timing Summary:

Speed Grade: -1

Minimum period: 9.982ns (Maximum Frequency: 100.180MHz)
Minimum input arrival time before clock: 4.597ns
Maximum output required time after clock: 4.364ns
Maximum combinational path delay: 2.788ns

I want to improve that, is there a way to find the critical path and maybe buffer it up a bit?

I don't know what is the bottleneck...

Thanks a lot.

Assaf.
 

you'll have to look in detail at the timing. I cant remember the xilinx tools exactly but I know its there and should show you the slowest paths. Then you have to go back to the RTL to modify it - then re-simulate it to make sure it works with the new pipelining, then re-build it in ISE, and recheck timing.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top