Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Is there difference between VHDL by Modelsim and Active HDL?

Status
Not open for further replies.
A

ahmadagha23

Guest
hi dear friends
I have an vhdl source code and i can compile and simulate it by activhdl but when I want to compile it by modelsim it get error from my vhdl code .why?
is there difference between vhdl by modelsim and activehdl?
thanks from your help.
 

modelsim vhdl-93

What version of VHDL ur using??
In modelsim check ur modelsim.ini file add this
VHDL93 = 1
if ur using VHDL93 language standard.
 

modelsim support vhdl 87 93

What is the error?

Regards,
KH
 

compile options in modelsim

Hi,
As already mentioned in the answers above, it may be the problem of VHDL version. In Modelsim default version is VHDL-87. If you are using syntax from VHDL-93 you have to set this version in Modelsim. There are two-three methods to set this option but altimate aim is the same:
1. Go to "Compile" menu and select "Compile Options". There select VHDL and check box for "Use 1993 Language Syntax".

2. You can directly set this option by modifying "modelsim.ini" file in your simulation folder. You can write following line in this file:
VHDL93 = 1

3. If you are using compile macro (TCL) you can mention different compile option for different module as:
vcom $optimize -93 -reportprogress 300 -work work file1.vhd
vcom $optimize -87 -reportprogress 300 -work work file2.vhd
.
.
.

so file1 will be compiled using 93 syntax and file2 using 87 syntax.

I have tried to make this one point clear. In case you are facing some other problem feel free to post it.

Regards,
Jitendra
 

modelsim in vhdl

You just cannot expect your input source code that works on one simulator/synthesizer to be seemingly acceptable on other tools as well.
 

vhdl modelsim

Post error here , please
 

modelsim vhdl version support

In the Modelsim se 5.8, it support vhdl 1076-2002! If the tools support the different version of IEEE vhdl standard, perhaps it will report errors in the compiler!
 

how to make modelsim 87 default

HI ! ! !
What is version of Active_HDL and version of ModelSim which you used???
What is the errors?????
good luck to Y........
Regards,
hung81
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top