Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Internal 3-state replace multiplexer?

Status
Not open for further replies.

davyzhu

Advanced Member level 1
Joined
May 23, 2004
Messages
494
Helped
5
Reputation
10
Reaction score
2
Trophy points
1,298
Location
oriental
Activity points
4,436
I have read a techxclusive from Xilinx named "Timing closure", it suggest that we should 'Use internal three-state buffers to replace large multiplexers', because 'Virtex based FPGAs use dedicated AND-OR logic to implement three-state buffers', and 'Can reduce multiplexer delays'.

I was told that internal 3-state should be avoid when design asic, but why the xilinx suggestion? Anyone have the experience? And I am curious to know how to implement 3-state in AND-OR logic?

DAVY
 

In asic design, you should use mux instead of tri,

because tri will increase power consumption and

increase DFT's difficulty.




davyzhu said:
I have read a techxclusive from Xilinx named "Timing closure", it suggest that we should 'Use internal three-state buffers to replace large multiplexers', because 'Virtex based FPGAs use dedicated AND-OR logic to implement three-state buffers', and 'Can reduce multiplexer delays'.

I was told that internal 3-state should be avoid when design asic, but why the xilinx suggestion? Anyone have the experience? And I am curious to know how to implement 3-state in AND-OR logic?

DAVY
 

Hi,
Yes long back I have done this in my design targeted to FPGA.
I replaced all muxes in my design by tristate muxes. This was needed
because FPGA have limited routing resources and tristate buffer delay
is much less compare to mux formed using F and G function generators.
In ASIC also some times to avoid routing conjestion (particularly in
case of huge no. of configuration registers ) one can use internal tri state
bus. This will make life somewhat complicated from DFT point of view
but its OK!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top