Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Declaring 2 RAMs together in Verilog?

Status
Not open for further replies.

hassanzia

Junior Member level 3
Joined
Nov 24, 2011
Messages
30
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,506
Is there a difference between the following two statements?

Code:
reg [7:0] a,b [0:1023];

AND

Code:
reg [7:0] a [0:1023];
reg [7:0] b [0:1023];

I'm just curious because declaring them together caused my code to go haywire, whilst declaring them separately solved everything!
 

possibly:

Code:
reg [7:0] a [0:1023], b [0:1023];

Though I'm not sure. I use marker based code folding (in vim/emac/etc...) and just hide the declarations.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top