Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Critical path (Xilinx ISE)

Status
Not open for further replies.

spman

Advanced Member level 4
Joined
Aug 15, 2010
Messages
113
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
2,061
Hi

How can find the critical path that is preventing clock constraint to be met? I use Xilinx ISE.
 

You have all of your delays in synthesis report.
 

You have all of your delays in synthesis report.

Synthesis report or place and route report? I found information about delays in place and route report. But I don't know how it is useful. How should exploit it?

Thanks
 

There is a small part in synthesis report called "Timing Report".
You can find them there.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top