Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

MinGW needed for modelsim 10.1c

Status
Not open for further replies.

prashantsid

Newbie level 5
Joined
Apr 8, 2012
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Faridabad, INDIA
Activity points
1,365
I am trying to integrate a SystemC model in UVM based testbench. Currently I am using Mentor Graphics Modelsim 10.1c (for windows)
but while compling some system c code, it showed an error,

** Error: (sccom-95) Your installation directory does not contain the appropriate GNU C++ compiler. Download and install the tarball from the ftp site (gcc-4.2.1-mingw32vc9.zip).

i have tried downloading MinGW from sourceforge https://sourceforge.net/projects/mingw/files/.
installed it and updated my modelsim.ini configuration file, (CppPath pointing to the %MinGW_install_dir%/bin). But still i get this error.

BTW the link provided above installs latest version of MinGW (but i need GNU C++ compiler 4.2.1 as mentioned in my Reference Manual for Modelsim 10.1c)
Does any body has a link for gcc-4.2.1-mingw32vc9.zip or if my existing MinGW version will do the stuff then please do provide me with step to run my SystemC.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top