Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ERROR:HDLParsers:3014

Status
Not open for further replies.

dksagra

Junior Member level 1
Joined
Jul 26, 2010
Messages
19
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
india
Activity points
1,493
After making my FSL core local in the system assembly view, the
implementation results in the following error:


ERROR:HDLParsers:3014 -
"D:/j_code/fsl_flash_lab/edk/pcores/fsl_kalman_v1_00_a/hdl/vhdl/fsl_kalm
an.vhd" Line 6. Library unit matrix_pkg is not available in library
fsl_kalman_v1_00_a.

ERROR:EDK:546 - Aborting XST flow execution!

please solve my issue.

thanks
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top