Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to use 2 dimensional array in verilog/ system verilog

Status
Not open for further replies.

sajju32

Newbie level 4
Joined
Sep 10, 2012
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,316
hi

I'm using sytem verilog to use two dimensional arrays in my program. when i compile for both testbench and for verilog code the compiler shows no error but in the waveform block the wave is not taking this two dimensional inputs and i'm not getting the output.can anyone explian me how to give input to two dimensional array and also how to use 2 dimensional arrays in verilog code.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top