Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

HDLs Vs Matlab. Uses!!

Status
Not open for further replies.

FreeMAn0110

Junior Member level 3
Joined
Apr 12, 2011
Messages
25
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,283
Location
Bengaluru
Activity points
1,401
Hi,
I have been exploring Matlab for sometime now. I see that Matlab codes can be converted to the required hardware description languages with ease. Also most of the prototyping happens using Matlab (at least where I belong to). When all these reasons are present why not use Matlab for all our electronic designing and implementation stuffs. Please put some light on this.
Thank you in advance.

- - - Updated - - -

I have included this query in this forum, because most of the DSP design works happens with Matlab. Also was not sure where else to post ;)
 

The method of converting codes is very generalized one, suppose in your design you have certain blocks; you characterize them as time sensitive, area sensitive etc, probably sensitive is not proper word, but what I actually mean is that one part of your system you want it to provide better timing and other to be area efficient, so using your Digital System Design techniques you will be able to code it in HDL accordingly but auto-converter (like MATLAB) won't cater for that so the reason is hardware utilization and efficient implementation, for efficient hardware utilization you would like to code it yourself and try to minimize resource utilization to the extent you want. So my opinion is that if someone is not good in HDL programming than this is good choice to utilize but for someone familiar with HDL prefer coding yourself.
Hope this helps, if not would like to discuss it further because it will help all of us to understand it better.
 
I agree with what you have said. I have got this doubt because, take for example, the prototype has been developed in Matlab, optimized with respect to design. Now when I have to convert this design onto HDL / hardware, Matlab converter would do that efficiently but a person who writes HDL would have to change the design fo teh optimization necessary for HDL. This would actually remove the optimizations done during development of prototype right?
 
The drawbacks of auto conversion/generation of HDL codes mentioned in a relevant MS Thesis (Title: An evaluation of methods for FPGA implementation from a Matlab description) are:

"The drawbacks of generating VHDL like the performance, reliability of the description, readability and the problems of maintaining the source is more important than the reduced design time."

The thesis can be found at:
https://www.ee.kth.se/php/modules/publications/reports/2008/XR-EE-SB_2008_014.pdf

Hope this helps, I would request all readers to share their experience of using MATLAB HDL coder for generating HDL; that will be really helpful
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top