Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

50 percent duty cycle compensation method ?

Status
Not open for further replies.

stanleyu

Junior Member level 2
Joined
Apr 13, 2004
Messages
23
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
259
duty cycle compensation

Halo, anyone has any idea how to implement a 50 duty cycle compensation circuit at the output ?

thanks
 

What sort of waveform are you dealing with? If all you want is a square wave at the output you can use a PLL operating at twice the frequency an a divide by two that generates the output of your circuit and also is fed to the phase detector.
 

I meet the 20% duty cycle in ATPG. What's the resons? And in face, how many duty cycle is the realistic chip's duty cycle??
 

If your chip has multiple clock domains and you've to reinforce scan chain locking (avoid scan feed through) during scan shift & capture cycles, you my have to define multiple clocks to have non-50% duty cycle and they are triggered at different time within a single test cycle.

One limit of defining duty cycle of test clock is the test clock frequency and the duty cycle limit from the FF used in your cell library.

Usually you can generate a verilog simulation testbench to try running your ATPG patterns with post-layout timing(using Synopsys DFT compiler & TetraMax).
 

kctang said:
If your chip has multiple clock domains and you've to reinforce scan chain locking (avoid scan feed through) during scan shift & capture cycles, you my have to define multiple clocks to have non-50% duty cycle and they are triggered at different time within a single test cycle.

Thanks.

How to define the clocks with non-50% duty cycle?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top