Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Pulse generator with adjustable pulse duration in VHDL

Status
Not open for further replies.

carpenter

Full Member level 6
Joined
Jul 25, 2012
Messages
353
Helped
22
Reputation
44
Reaction score
24
Trophy points
1,298
Activity points
4,467
I need a pulse generator with adjustable pulse duration.
Inputs, clock, serial trigger and to serial line for load pulse length.
Function, set the desired pulse length (n * clock) and each time you press trigger, generates a pulse.
You advise how?
 

Re: Pulse generator in VHDL?

Use a microcontroller. If that happens not to fit the requirements, post more detailed requirements.
 

Re: Pulse generator in VHDL?

Maybe MCU is inaccurate or slow or is unavailable.
But also implement it in FPGA. I thing Up/Down counter with a parallel load. Puls start with trigger and end with overflow counter.
 

Re: Pulse generator in VHDL?

Obviously the average fpga will outperform the average mcu. The thing is, carpenter hasn't specified anything like min/typical/max repetition rate, precision, output voltage, update rate (from software), yadda yadda ... So ye olde mcu might just do the trick, 100% depending on what the specs are. Barring awesome specs I'd suggest an MCU, and if higher performance is requiremed (get it? requirements.) an fpga can enter the picture. And if current generation fpga's don't do the trick the OP can always spend $$$ and roll his own ASIC. So I guess the point is: what are the requirements/specs?

Oh yeah, rise time, fall time? Fixed or configurable? Stuff like that.
 
Last edited:

Re: Pulse generator in VHDL?

OK
I have in design MCU, nay quick ARM M4, but clock in ARM are from internal PLL and I need high precise pulse for use as time gate for measurement.
In FPGA (any as Spartan x) I have 200MHz clock derived from GPS disciplined oscillator.
I need pulse (gate) 1us up to few second, step 1us, best synchronously with primary clock.
 

Re: Pulse generator in VHDL?

"high precise pulse" .. how precise?

GPSDO ... 10 MHz ==> external vco + pll ==> 200 MHz. And then feed the 200 MHz into the fpga? Something else? Details would seem to handy. I mean, given your first post you'd get some random piece of HDL code that "generates a pulse". Not what you are after, but fits your initial spec. So please provide as much detail as you can think of. That is, if you want a meaningful answer to your question. :p

"... as time gate for measurement". care to describe that in some detail?
 

Re: Pulse generator in VHDL?

No , 10MHz sin => 10MHz quartz filter => sqare with maximizing 10th harmonic => High-Q filter 100MHz => Amplifier => Doubler => 2x High-Q filter 200MHz => amplifier and PECL comparator as outut. I thing significantly better than any PLL.
Goal is counter to our neutron detector (geological measurements.)
Yet to use Agilent 53230A universal counter and accuracy when using external 10MHz is sufficient.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top