Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[NC-sim + fsdb] how to create fsdb waveform in ncsim without adding code in verilog?

Status
Not open for further replies.

joder

Newbie level 6
Joined
Oct 2, 2009
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,356
Dear all,
I need to use multiple-steps compilation in nc-sim with dumping out the fsdb file. I failed to use
....
call fsdbDumpfile ("xxx.fsdb")
call fsdbDumpvars 0 top_xxx_module
run
....
in command.tcl for the -INPUT option in ncsim.

The log file shows the following:
ERROR: VHPI VHUNIMP
The property or method vhpiIteratorTypeP has not been implemented yet.

Segmentation fault in routine called with CFC (fsdbDumpvars).


Could anyone kindly help?
Thx a lot
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top