Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Using internal FPGA pull registers instead of externals

Status
Not open for further replies.

shaiko

Advanced Member level 5
Joined
Aug 20, 2011
Messages
2,644
Helped
303
Reputation
608
Reaction score
297
Trophy points
1,363
Activity points
18,302
Is it possible to use the internal resistors of Xilinx FPGA's to set the configuration mode of the device.
I mean setting the logic level of M0 , M1 and M2 pins with internal FPGA resistors instead of external pull ups/downs ?
If possible, how can it be done ?
 

Dear Shaiko!

Yes it is possible...

Just right click on the genrate programming file option -> click on process properties -> goto configuration options....There you will find what you want.
If could not understand just click on help on the bottom left corner
 
  • Like
Reactions: shaiko

    shaiko

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top