Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] primetime px's annotated problem

Status
Not open for further replies.

zouyong

Newbie level 6
Joined
Jun 9, 2011
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,385
Hi:
I'm trying to get dynamic power of a design.
Here are the steps I took:
set power_enable_analysis TRUE
set link_path
read_verilog
link
set_wire_load_model
create_clock
read_sdc
read_vcd , Here it shows:
======================================================================
Summary:
Total number of nets = 50237
Number of annotated nets = 50237 (100.00%)
Total number of leaf cells = 33717
Number of fully annotated leaf cells = 33717 (100.00%)
======================================================================
Then I type report_annotated_power:

| | | NOT |
Cell type | Total | Annotated | Annotated |
-----------------------------+-----------+-----------+-----------+
unresolved black-box cell | 0 | 0 | 0 |
leaf cell | 33717 | 0 | 33717 |
-----------------------------+-----------+-----------+-----------+
| 33717 | 0 | 33717 |
It seems all the cells are not annotated now.
the pt_shell I'm using is pt_shell version - F-2011.06-SP1
Is there any necessary I missed?
Thanks
 

Try this command report_power just before report_annotated_power.
 
Thanks, but this doesn't work, still shows all the cell are not annotated.
I check the manuscript of this report_annotated_power:
DESCRIPTION
This command provides a summary report of how many powers are annotated
in the current design and, if the -list_annotated option is specified,
a list of cells with power values annotated on them.
EXAMPLES
The following example shows how power is annotated, removed, and
reported.

pt_shell> set_annotated_power -int 1 -leak 0.01 u0/*
1
pt_shell> report_annotated_power -list_annotated

Do I need to set this annotated power information by myself? or from the VCD file, it can figure out this annotated information by itself?
No matter what, thanks.
 

My understanding is that report_annotated_power showing the annotated power, which was set by set_annotated_power command. Usually, you are using set_annotated_power command only for cells, that have not power data in their .db files (any black-box) or you want to override the library power data.

Did report_power calculate the power numbers? Has it non-zero leakage, internal and switching power? If yes - what is the reason you are using report_annotated_power? To get calculated power data for any cell you can use report_power with some options (see man for this command).
 
Did you read the SPEF to get annotation?. Looks like Parasitic information required.

Regards, Sam
 

Did you read the SPEF to get annotation?. Looks like Parasitic information required.

Regards, Sam
No I didn't read SPEF file. Is this SPEF necessary for me to get a power results? I know what this SPEF is, but not sure whether that is necessary for me to get a cycle power waveform. if yes, then can you tell me how can I get this SPEF file?
Really appreciate these.
 

without SPEF reading into PTPX, how the annotation will happen?.

read_parasitics <Design.SPEF> and try to annotate.

What is annotation?. The netlist and Parasitic need to correlate the cell and net names and should annotate the delays .


Read the SPEF and let me know if you still see the annotation issue.
 
without SPEF reading into PTPX, how the annotation will happen?.

read_parasitics <Design.SPEF> and try to annotate.

What is annotation?. The netlist and Parasitic need to correlate the cell and net names and should annotate the delays .


Read the SPEF and let me know if you still see the annotation issue.

Thanks a lot!!! If I just use RTL level VCD file, do I still need this SPEF file? I thought primetime can help me set a default value.
when I use RTL level VCD file, the dynamic power looks reasonable. half and half with static power.
But when I use Gate level VCD file, the dynamic power is almost 0. like 10e-13... and static power is 10e-3..
For gate level, I only read sdc and netlist and VCD file but not sdf or SPEF file, because someone told me primetime can set a default sdf information for me.
Is this the reason that my gate level results look not correct? I've been tied up on this for a very long time.
 

without SPEF reading into PTPX, how the annotation will happen?.

read_parasitics <Design.SPEF> and try to annotate.

What is annotation?. The netlist and Parasitic need to correlate the cell and net names and should annotate the delays .


Read the SPEF and let me know if you still see the annotation issue.

Thanks a lot!!! If I just use RTL level VCD file, do I still need this SPEF file? I thought primetime can help me set a default value.
when I use RTL level VCD file, the dynamic power looks reasonable. half and half with static power.
But when I use Gate level VCD file, the dynamic power is almost 0. like 10e-13... and static power is 10e-3..
For gate level, I only read sdc and netlist and VCD file but not sdf or SPEF file, because someone told me with a wireload model primetime can set a default sdf information for me.
Is this the reason that my gate level results look not correct? I've been tied up on this for a very long time.
 

for Gate level designs, SPEF is very much required.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top