Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

tasks and function: are the blocking or non-blocking, or both

Status
Not open for further replies.

syedshan

Advanced Member level 1
Joined
Feb 27, 2012
Messages
463
Helped
27
Reputation
54
Reaction score
26
Trophy points
1,308
Location
Jeonju, South Korea
Activity points
5,134
Dear all ,

I want to know whether task and functions (specifically task) are blocking , non-blocking or both. let us say we have a task defined as

Code:
module abc;

....
....

sum(in1,in2,out1);
.
.
.
task sum;

input a;
input b;
output x;

x <= a+b;

endtask



so what is the procedural flow, either blocking or non-blokcing. Also can we use either in them

Regards,
Shan
 
Last edited by a moderator:

when u want to simulate, either cases wud work.. but while u synthesise, the timing constructs will simply be ignored in BOTH - TASKS and FUNCTIONS .... did u get it ??
 

Dear graphene,

Thank you for your reply.
I mean to ask that since a/c to theory task will not return control the next statement in the sequential block unless it is completed.
hence is it really true practically. and does it runs sequentially within the task...endtask block as well...

Regards,
Shan
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top