Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

32x32 multiplier:is a a shorter code possible in verilog ?

Status
Not open for further replies.

Nitya1

Newbie level 4
Joined
Sep 18, 2011
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,313
Is there a way to reduce number of lines by some command when designing a 32x32 multiplier in verilog, since the code exceeds a 1000 lines..
 

Its a pretty long code.multiplies a0-a31 with b0-b31. has 1024 full adders only, in 32 rows and 32 columns, with partial products as inputs.
 

To my understanding:
1): If you want to synthesis that multiplier, you just write mul[63:0] = a[31:0] * b[31:0]; will be ok. Then synthesis tool will synthesis this code to logic gates.
2): If you want to design a multiplier (design a multiplier architecture) with simple logic functions, such as add, OR, AND, you may need rearch the multiplier arthitecture first. As I known, usually there will be booth encoding, then use 3-->2 architecture, then just use 1 full adder to get the multiplier result.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top