Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VCS filelist options help

Status
Not open for further replies.

1337John

Newbie level 1
Joined
Dec 2, 2011
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,287
Hi, I was wondering what the different filelist options in vcs do:

-f <filename>
Specifies a file that contains a list of pathnames to source files
and compile-time options.

-F <filename>
Same as the -f option but allows you to specify a path to the file
and the source files listed in the file do not have to be absolute
pathnames.

The documentation isn't exactly very clear. Thanks for any help!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top