Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Synosys DC- Register Inference

Status
Not open for further replies.

jkbagada

Newbie level 6
Joined
Aug 14, 2010
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
india
Activity points
1,361
Hello Friends

I have read through synopsys DesignWare developer's guide and understood the hierarchy Synthetic operator, module,Implementation and their binding .

My doubt is how registers are inferred? i mean all those coding style (Process wait, if else) infers registers but what Synthetic operator is called for and which implementation of register is selected(where is the mapping specified)?

I have two synthetic libraries for synthesis i.e standard.sldb and dw_foundation.sldb, none of them seems to contain register implementation or binding but during synthesis registers are inferred from somewhere?

can anybody help me with this?

Thanking you
 

Re: Synosys DC- Rgister Inference

Register is not designware, it's containted in ur basic standard cell lib, u should define it as your target library.
 

Hey

Thanks for your reply

I realized that registers are not design ware. What do you mean by should specify standard.sldb as target library??? isnt target library suppose to be technology lib like faraday fsc0h.??

also i realized that synthesis of design is inferring everything(and, or, nand) from Gtech lib but not standard.sldb?

if u could help

Thanking you
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top