Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to convert Verilog code to VHDL code?

Status
Not open for further replies.

voho

Full Member level 2
Joined
Feb 24, 2004
Messages
121
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,298
Location
Nador City
Activity points
852
convert verilog to vhdl

Hi everibody


I use ise 6.1 and I would like to know how to convert code verilog into vhdl .

Thank's in advance :eek:
 

convert verilog to vhdl

see
 

Re: convert verilog to vhdl

Google can give you some programs that can convert VHDl to verilog, however these programs doesn't run right, in fact there is no 1-1 convertion from vhdL to Verilog, so it will need from you after using such a program to check the code yourself and sdo the chanegs to make it work.
 

convert verilog to vhdl

X-HDL is a good program. I've tried it myself recently. It is true that you have to modify the results of the translation since not everything produced by that tool is synthesizable. The trial version ouputs the result into a locked text box, so that you cannot copy it anywhere else. Try it.

Also, there's another program.. .forgot its title (verilog2vhd or something). However, all the links I've found to it were not working. I even email the author, but his email has expired.
 

convert verilog to vhdl

X-HDL is the premier Verilog <=> VHDL bi-directional translator. X-HDL performs translation of even the most complex RTL/gate-level code efficiently and requiring few, if any, "hand tweaks" of the translated code. X-HDL also contains specialized algorithms which are very effective in translating behavioral-level code to functionally equivalent target-language code.
 

Re: convert verilog to vhdl

As a general rule it is not a good idea to convert from one language to another especially in the HDL domain that actually describes circuits. The same is true for software programming languages because you would never know if the converter correctly infers the designer's intention for the code he or she wrote. In such cases designers often ended up rewriting code in the language of their choice for finer control on design.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top