Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Modelsim clock settings...

Status
Not open for further replies.

davorin

Advanced Member level 3
Joined
Jun 7, 2003
Messages
901
Helped
11
Reputation
22
Reaction score
4
Trophy points
1,298
Location
Switzerland
Activity points
7,349
modelsim clock

Is this behaviour normal that in the wave window it always reverts to "100" clock period even after entering for example "40 ns" ?

Simulation is correct though but it doesn't display last settings in 58d...
 

modelsim + clock latch

No it's not normal .. it should work properly .. try using another design with ur clock settings .. sometimes modelsim gives some trash to the designers
 

set clock in modelsim

Hmm..but should at least simulation of supplied HEX file for initial RAM block be working in Modelsim?


Works fine withing Quartus....

update:

Same simulation which runs fine in Quartus produces strange output in m58d...like some signals are switching between "1" and "X" or "0" and "X"...
 

adding a clock in modelsim

I used to have different simulation results when using Aldec Active HDL and Modelsim .. but unfortunatly , though Modelsim is considered No. 1 simulator all over the world for HDL, Aldec was the one with the right results ..
so , if u went thru a similar experience .. of using 2 simulators .. don't take something for granted except is u tried to be urself a simulator ..

Don't be amazed ..
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top