Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] VHDL Error Multiple declarations of "+" included via multiple use clauses"

Status
Not open for further replies.

blooz

Advanced Member level 2
Joined
Dec 29, 2010
Messages
560
Helped
121
Reputation
242
Reaction score
116
Trophy points
1,343
Location
India
Activity points
4,985
VHDL Error Multiple declarations of "+" included via multiple use clauses"

The Exact Error is reported as ...

line 43.Multiple declarations of "+" included via multiple use clauses; none are made directly visible

particular Line is

G <= A + B + UNIT;
 

Re: VHDL Error Multiple declarations of "+" included via multiple use clauses"

I guess you are trying to add signed or unsigned types and you included both numeric_std and std_logic_arith. Both files declare a signed and unsigned type and the arithmetic functions for them. Because of this, it doesnt know which one to use, so wont let you use either without specifiying which ones:

signal a : numeric_std.unsigned(7 downto 0);
signal b : numeric_std.unsigned(7 downto 0);
signa g : numeric_std.unsigned(7 downto 0);

g <= a numeric_std.+ b;

I would stick with just numeric_std because it is a real standard, std_logic_arith is not.
 
  • Like
Reactions: blooz

    blooz

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top