Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to compile and perform simulation on System verilog with DPI-C call using VCS?

Status
Not open for further replies.

no_mad

Full Member level 5
Joined
Dec 10, 2004
Messages
271
Helped
30
Reputation
60
Reaction score
11
Trophy points
1,298
Location
Naboo
Activity points
2,489
Hi all,

I'm new to SV+DPI-C environment.
I'm using VCS for simulation.

Question 1:
What are the options in VCS to compile C-code together with SystemVerilog codes.

Please shed some light.

Thanks in advance
 

Hi,

I know it's very old post and not sure if I will receive any response. However, I want to give a try.

Can you please post the solution which you had for this problem?
It will be helpful for the person like me who is also in the same situation. I am first time using SV+DPI-C and not sure about VCS command to simulate a test.

Please help if you remember.

Thanks,
Nilesh


Hi all,

I'm new to SV+DPI-C environment.
I'm using VCS for simulation.

Question 1:
What are the options in VCS to compile C-code together with SystemVerilog codes.

Please shed some light.

Thanks in advance
 

Hi Nilesh,

Good to hear that you are also doing this :)

Anyway, here how I does it:

1) First u need to generate your *.so file:
>> Example: g++ -Wall -m64 -g -fPIC your_cpp1.cpp your_cpp2.cpp -shared -o your_gen.so


2) VCS compile:
>> Example: vcs -debug_all -full64 -sverilog -R +define+your_define rtl_file.sv your_gen.so

All this you can added into your Makefile and do automation :-D

Hope it helps.

-no_mad
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top