Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

simulation results are wrong.

Status
Not open for further replies.

drba

Newbie level 4
Joined
Mar 17, 2011
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,329
Hi,
I am new to this vhdl language.
I am doing structural style of asynchronous(mod 17) counter in vhdl.
I am using xilinx ise .During synthesis no errors were observed.
But in simulation all flip flop outputs are showing 'x;

What might be the wrong thing i am doing.
Help me in this.

Regards,
Drba
 

But in simulation all flip flop outputs are showing 'x
This is the expected behaviour, if the design misses a suitable initialization of signals respectively a reset.
 
  • Like
Reactions: drba

    drba

    Points: 2
    Helpful Answer Positive Rating
hi,


i applied all the initial conditions .
Its waveforms are like earlier i.e xxxxx.
One funny thing is ,if i put flipflop outputs(q4,q3,q2,q1,q0) as inout signals and initilalize them at port declaration ,everything is working fine.
But the same is not coming if i declare them as signals and then initialize.

why this kind of behavior?

regards,
drba
 

I remember, that missing initialization actually gives "uuu" values while "xxx" refers to incorrect designed logic or timing issues. I previously overlooked the term "asynchronous". Does it mean, you have a counter without a edge sensitive process? This in fact won't be synthesizable.
 
  • Like
Reactions: blooz

    blooz

    Points: 2
    Helpful Answer Positive Rating
hi,

i am sending my code.
it is getting synthesized and showing results as uuuuu.
i tried by giving initialization value to reset signal s1.
But simulation result is uuuuu.
Earlier because of setting problem it is showing xxxxx[i.e instead of VHDL ,verlog was selected in the properties settings].
i am using ISE9.1.


regards,
drba
 

Attachments

  • clock_17.txt
    3 KB · Views: 69

i got the expected simulation results.Problem is because of uninitialized Reset signal.
your reply is helpful FVM.

Regards,
drba
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top