Follow along with the video below to see how to install our site as a web app on your home screen.
Note: This feature may not be available in some browsers.
process
variable output_line : line;
begin
write(output_line, string'("This is a load of data: ") );
write(output_line, sentence(64 downto 48) ); --or Hwrite if you want to output hex
writeline(OUTPUT, output_line); --OUTPUT is the system console
end process;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_textio.all;
use std.textio.all;
entity mywork is
end mywork;
architecture Behavioral of mywork is
constant A_BIG_BINARY_ARRAY : std_logic_vector(63 downto 0) := x"1234567890ABCDEF";
begin
process
variable output_line : line;
begin
write(output_line, string'("Here is the number in binary: ") );
write(output_line, A_BIG_BINARY_ARRAY );
writeline(OUTPUT, output_line); --OUTPUT is the system console
write( output_line, string'("Here is the number in hex: ") );
hwrite(output_line, A_BIG_BINARY_ARRAY );
writeline(OUTPUT, output_line); --OUTPUT is the system console
wait;
end process;
end Behavioral;