Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

test bench in vhdl for set up & hold time violation

Status
Not open for further replies.

kilbil

Junior Member level 3
Joined
Oct 13, 2010
Messages
26
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,283
Activity points
1,437
i want test bench in vhdl for set up & hold time violation ,can anybody help me?
 

First of all, you must use the synthesized netlist, and not the actual verilog/vhdl file you must have written.
Second, you must have a rigorous input vector of all possible input combinations.
Something to be highlighted here is that the testing must be exhaustive - which means, many iterations using the input vector, w/o taking time outs between iterations.

After this, if there are setup and hold violations, you should get some warnings when you simulate using the synthesized netlist.

I hope this helps.
 

uselly, the STA is here to check this knid of violations, and I didn't understood why you want to find or to check the setup & hold violation with a testbench?
As written by ssti85, you need to be very rigorous with your input vectors, and with a timing netlist.
 

thanks ,but i want the vhdl test bench code because i have to submit it in my assignment
 

its not very difficult to do that.
You must have written normal test benches. Just put that in a for loop. and repeat the loop certain number of times (say a avg value of 1000 or so).
Plus, in each iteration, try to take a different set of inputs. This usually should be done, so you get a better estimate of the the avg. power.

Else, you can always take the same set of inputs and do all the iterations.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top