Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

PID as VHDL source or IP

Status
Not open for further replies.

Git

Full Member level 4
Joined
Dec 12, 2001
Messages
194
Helped
3
Reputation
6
Reaction score
2
Trophy points
1,298
Location
Torino
Activity points
1,208
pid vhdl

Does anybody know where I can find PID algorithm implemented in VHDL, or as IP please?

Git
 

vhdl pid

Perhaps you should try using matlab. You can build the math model. I think that there is a possiblity to transfer the math model in VHDL with Matlab. Of Course you need a certain knowledge of Matlab.
 

pid y fpga vhdl

I wondered about Matlab, but I can't see any way to do Matlab -> VHDL conversion, unless that part of StateCAD stands alone.


Git
 

vhdl pid sample code

Hi Git

I'm working on a methodology of Behavioral synthesis . called automatic programing .. Well is not a full reality yet .But a lot can be done ..

1)You start with a matlab model .. (Simulink)
Then you output C sequential code with RTW

2) You simulate this and PROFILE the code .. to see where are the bottle necks in the algorithm .. Once you know what to parallelize

3)You parallelize the C sequential code with HandelC syntax
(this actually is done by hand ..)

4) Celoxica synthetize to your favorite FPGA

5) Route


I'm also trying other approaches with Java
Starting with another Matlab compatible tool from Mathwizards
**broken link removed**
 

p i d vhdl

Phew. Thanks EJ, but I don't think I have all the required tools to complete that and probably not all the knowledge :)

I have an embedded soft risk cpu in the fpga, but I had earlier believed that doing it in software would take too long. I just wrote the routine in C and it compiled down to 60 clock cycles which will be about 1uS which is way less than I thought.

I'd still prefer to do it in VHDL, but at least I have a solution if pressed.


Git
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top