Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

convert this verilog code to VHDL code

Status
Not open for further replies.

raghava

Member level 2
Joined
Jul 31, 2008
Messages
51
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Activity points
1,870
HI all,

Can anybody help me to convert this verilog code snippet into VHDL using procedure. Where i_clk and i_reset are global. This logic meant to generate an asynchronoud reset.

task ApplyReset;
begin
@ (negedge i_clk); i_reset = 1'b1;
@ (negedge i_clk);
@ (negedge i_clk);
@ (negedge i_clk); i_reset = 1'b0;
end
endtask

I conveted like follow.

procedure ApplyReset is
begin
wait until i_clk'event and i_clk = '0' ; i_reset <= '1';
wait until i_clk'event and i_clk = '0'; i_reset <= '0';
end ApplyReset;

Its fiving syntax error at procedure.

I appreciate your help.

Regards
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top