Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] instantiating verilog module in vhdl

Status
Not open for further replies.

ramesh.balaram

Newbie level 4
Joined
Dec 1, 2009
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
hyderabad
Activity points
1,311
how to use a xilinx unisim library component written in verilog, in a vhdl top level code


how to perform the port mapping
 

It's treated on the VHDL side like a VHDL component. Write a component defintion with the respective ports and instantiate it.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top