Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to create 4 Clock Cycle delay in design

Status
Not open for further replies.
Is this what you wanted? Note, you don't really need reset in the delay FFs.

always @(posedge clk) begin
wr_d1 <= #1 wr; // wr is the signal you want to delay
wr_d2 <= #1 wr_d1;
wr_d3 <= #1 wr_d2;
wr_d4 <= #1 wr_d3;
end
 

spartanthewarrior said:
Hi all,

How can i create 4 clock cycle delay in my design.

Use a simple counter.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top